man ghdl (Commandes) - VHDL compiler/simulator

NAME

ghdl - VHDL compiler/simulator

SYNOPSIS

ghdl [mode] [options] files...

DESCRIPTION

This manual page documents briefly the ghdl command. This manual page was written for the Debian distribution because the original program does not have a manual page.

Instead, users should consult the GHDL web site <http://ghdl.free.fr>.

OPTIONS

This program follows the usual GNU command line syntax, with long options starting with two dashes (`-'). A summary of the main modes and options is included below. For a complete description, refer to the GHDL web site.

Basic modes:

-s
Syntax-check mode, i.e. ghdl -s file.vhdl
-a
Analysis mode, i.e. ghdl -a file.vhdl
-e
Elabortation mode, i.e. ghdl -e unit_name
--clean
Clean mode, i.e. ghdl --clean
-h, --help
Help mode, i.e. ghdl --help
--version
Version mode, i.e. ghdl --version

Basic options:

--work=NAME
Name of the WORK library, i.e. ghdl -a --work=foo foo.vhdl
--std=STD
Which VHDL standard (87|93|93c|00|02), i.e. ghdl -a --std=87 old.vhdl
--ieee=VER
Which IEEE library (none|standard|synopsys|mentor), i.e. ghdl -a --ieee=synopsys broken.vhdl
--no-vital-checks
Disable VITAL restriction checking, i.e. ghdl -a --no-vital-checks unsupported_vital.vhdl

There are many more modes and options; please consult the web doucmentation.

Executables created by GHDL have addition simulation options. The most important ones are listed below:

--help
Show options for simulation and execution.
--assert-level=LEVEL
Assert level at which to stop simulation (none|note|warning|error|failure), i.e. ./touchy_design --assert-level=note
--stop-time=TIME
Stop simuation after TIME, i.e. ./design --stop-time=50ns
--vcd=FILENAME
Dump VCD to FILENAME (a waveform dump, viewable with--for instance--gtkwave), i.e. ./design --vcd=design.vcd
Back annotate SDF onto design using TYPE (min|typ|max), instance PATH, and SDF file FILENAME, i.e. ./sdf_design --sdf=typ=top/inst=inst.sdf

SEE ALSO

gtkwave (1)



GHDL is fully documented at <http://ghdl.free.fr/ghdl/index.html>.

AUTHOR

This manual page was written by Wesley J. Landaker <wjl@icecavern.net>, for the Debian project (but may be used by others). It is released under the same terms as GHDL, i.e. the GNU General Public License.